99精品伊人亚洲|最近国产中文炮友|九草在线视频支援|AV网站大全最新|美女黄片免费观看|国产精品资源视频|精彩无码视频一区|91大神在线后入|伊人终合在线播放|久草综合久久中文

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

新思科技Fusion技術(shù)助力三星7LPP EUV工藝降低功耗、縮小面積并提高性能

章鷹觀察 ? 來(lái)源:電子發(fā)燒友 ? 作者:廠商供稿 ? 2018-07-05 14:15 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

全球第一大芯片自動(dòng)化設(shè)計(jì)解決方案提供商及全球第一大芯片接口IP供應(yīng)商、信息安全和軟件質(zhì)量的全球領(lǐng)導(dǎo)者新思科技(Synopsys, Inc.,納斯達(dá)克股票市場(chǎng)代碼: SNPS )近日宣布,新思科技Design Platform Fusion 技術(shù)已通過(guò)三星認(rèn)證,可應(yīng)用于其7納米(nm)低功耗+(LPP-Low Power Plus)工藝的極紫外(EUV)光刻技術(shù)。新思科技Design Platform為基于EUV單次曝光布線和連排打孔提供完備的全流程7LPP支持,以確保最大程度地實(shí)現(xiàn)設(shè)計(jì)的可布線性和利用率,同時(shí)最大限度地降低電壓降(IR-drop)。新思科技的SiliconSmart? 庫(kù)表征工具對(duì)于研發(fā)在該認(rèn)證工藝上建立參考流程所使用的基礎(chǔ)IP非常關(guān)鍵。三星已經(jīng)認(rèn)證了新思科技 Design Platform工具和參考流程,該流程與Lynx Design System兼容,配備用于自動(dòng)化和設(shè)計(jì)最佳實(shí)踐的腳本。該參考流程可通過(guò)三星Advanced Foundry Ecosystem (SAFE?) 計(jì)劃獲得。

三星電子代工市場(chǎng)營(yíng)銷(xiāo)團(tuán)隊(duì)副總裁Ryan Sanghyun Lee表示:“通過(guò)與新思科技的深入合作,我們7LPP工藝上的認(rèn)證和參考流程將為我們共同的客戶在設(shè)計(jì)上實(shí)現(xiàn)最低功耗、最佳性能和最優(yōu)面積。使用經(jīng)過(guò)驗(yàn)證并集成了Fusion技術(shù)的新思科技 Design Platform,我們的代工客戶可以放心地使用新思科技最先進(jìn)的EUV工藝量產(chǎn)他們的設(shè)計(jì)?!?/p>

新思科技設(shè)計(jì)事業(yè)部營(yíng)銷(xiāo)與商務(wù)開(kāi)發(fā)副總裁Michael Jackson表示:“我們與三星的工具和參考流程合作重點(diǎn)在于使設(shè)計(jì)人員能夠使用三星最新的EUV 7LPP工藝在最高可信度下獲得最佳結(jié)果質(zhì)量。采用集成了Fusion技術(shù)的新思科技Design Platform,可擴(kuò)展7LPP參考流程將使設(shè)計(jì)人員能夠輕松實(shí)現(xiàn)他們期望的設(shè)計(jì)和時(shí)間目標(biāo)。”

基于ARMv8架構(gòu)的64位Arm Cortex-A53處理器被用于結(jié)果質(zhì)量(QoR)優(yōu)化和流程認(rèn)證。新思科技Design Platform 7LPP參考流程的關(guān)鍵工具和功能包括:

IC Compiler II布局和布線:基于EUV單次曝光的布線具備優(yōu)化的7LPP設(shè)計(jì)規(guī)則支持,以及連排打孔以確保最大的設(shè)計(jì)可布線性和利用率,同時(shí)最大限度地減少電壓降。

Design Compiler Graphical RTL綜合:與布局布線結(jié)果的相關(guān)性,擁塞減少,優(yōu)化的7LPP設(shè)計(jì)規(guī)則支持以及向IC Compiler II提供物理指導(dǎo) 。

IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和獨(dú)特的采用Explorer技術(shù)的Dirty Data分析,以及帶有DRC自動(dòng)修復(fù)的設(shè)計(jì)內(nèi)驗(yàn)證和在IC Compiler II中的準(zhǔn)確感知時(shí)序的金屬填充。

PrimeTime時(shí)序signoff:近閾值超低電壓變化建模,過(guò)孔變化建模和感知布局規(guī)則的工程變更指令(ECO)指導(dǎo)。

StarRC?寄生參數(shù)提取:EUV基于單次曝光模式的布線支持,以及新的提取技術(shù),如基于覆蓋率的過(guò)孔電阻。

RedHawk?Analysis Fusion:ANSYS? RedHawk?驅(qū)動(dòng)的在IC Compiler II中的EM/IR分析和優(yōu)化,包括過(guò)孔插入和電網(wǎng)增幅。

DFTMAX?和TetraMAX? II測(cè)試:基于FinFET、單元感知和基于時(shí)序裕量的轉(zhuǎn)換測(cè)試以獲得更高的測(cè)試質(zhì)量。

Formality?形式驗(yàn)證:基于UPF、帶狀態(tài)轉(zhuǎn)換驗(yàn)證的等價(jià)性檢查。

目前可通過(guò)SAFE?計(jì)劃獲得與新思科技Lynx Design System兼容并經(jīng)認(rèn)證的可擴(kuò)展參考流程。Lynx Design System是一個(gè)全芯片設(shè)計(jì)環(huán)境,包含創(chuàng)新的自動(dòng)化和報(bào)告功能,可幫助設(shè)計(jì)人員實(shí)施和監(jiān)控其設(shè)計(jì)。它包括一個(gè)生產(chǎn)化RTL-to-GDSII流程,可簡(jiǎn)化和自動(dòng)化許多關(guān)鍵的設(shè)計(jì)實(shí)現(xiàn)和驗(yàn)證任務(wù),使工程師能夠?qū)W⒂趯?shí)現(xiàn)性能和設(shè)計(jì)目標(biāo)。SAFE?計(jì)劃提供由三星認(rèn)證支持并經(jīng)廣泛測(cè)試的工藝設(shè)計(jì)套件(PDK)和參考流程(與設(shè)計(jì)方法)。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 三星電子
    +關(guān)注

    關(guān)注

    34

    文章

    15888

    瀏覽量

    182354
  • 新思科技
    +關(guān)注

    關(guān)注

    5

    文章

    870

    瀏覽量

    51534
  • 光刻技術(shù)
    +關(guān)注

    關(guān)注

    1

    文章

    151

    瀏覽量

    16202
收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評(píng)論

    相關(guān)推薦
    熱點(diǎn)推薦

    思科技與三星深化合作加速AI和Multi-Die設(shè)計(jì)

    的經(jīng)認(rèn)證EDA流程優(yōu)化功耗性能面積(PPA),并通過(guò)三星最新先進(jìn)工藝技術(shù)支持的高質(zhì)量IP產(chǎn)品組合可有效
    的頭像 發(fā)表于 07-18 13:54 ?177次閱讀

    高性能低功耗雙核Wi-Fi6+BLE5.3二合一

    處理器芯片nRF5340設(shè)計(jì)的緊湊型模塊——PTR5302。這款模塊具有高性能、低功耗、雙內(nèi)核的特點(diǎn),將為物聯(lián)網(wǎng)應(yīng)用帶來(lái)更加強(qiáng)大的無(wú)線連接能力和更高的性能表現(xiàn)。PTR5302采用了Nordic的超
    發(fā)表于 06-28 21:42

    回收三星S21指紋排線 適用于三星系列指紋模組

    深圳帝歐電子回收三星S21指紋排線,收購(gòu)適用于三星S21指紋模組?;厥?b class='flag-5'>三星指紋排線,收購(gòu)三星指紋排線,全國(guó)高價(jià)回收三星指紋排線,專(zhuān)業(yè)求購(gòu)指紋
    發(fā)表于 05-19 10:05

    三星在4nm邏輯芯片上實(shí)現(xiàn)40%以上的測(cè)試良率

    較為激進(jìn)的技術(shù)路線,以挽回局面。 4 月 18 日消息,據(jù)韓媒《ChosunBiz》當(dāng)?shù)貢r(shí)間 16 日?qǐng)?bào)道,三星電子在其 4nm 制程 HBM4 內(nèi)存邏輯芯片的初步測(cè)試生產(chǎn)中取得了40% 的良率,這高于
    發(fā)表于 04-18 10:52

    三星電容的MLCC技術(shù)有哪些優(yōu)勢(shì)?

    )O?(PZT)或改良的復(fù)合陶瓷系統(tǒng)。這些材料在電場(chǎng)作用下能儲(chǔ)存大量電荷,從而實(shí)現(xiàn)高電容密度。 先進(jìn)的粉末制備工藝三星通過(guò)先進(jìn)的粉末制備工藝,生產(chǎn)出粒徑小且分布均勻的陶瓷粉體。這種粉體有助于
    的頭像 發(fā)表于 03-13 15:09 ?432次閱讀
    <b class='flag-5'>三星</b>電容的MLCC<b class='flag-5'>技術(shù)</b>有哪些優(yōu)勢(shì)?

    三星已量產(chǎn)第四代4nm芯片

    節(jié)點(diǎn)(SF4X)采用了后端布線技術(shù),提高芯片性能,而且還能降低制造成本;該工藝專(zhuān)注于人工智能等高性能
    的頭像 發(fā)表于 03-12 16:07 ?1.2w次閱讀

    PHY6236藍(lán)牙5.4超低功耗高性能無(wú)線通信SOC 智能物流管理應(yīng)用

    倉(cāng)儲(chǔ)物流場(chǎng)景,能夠?qū)崿F(xiàn)貨物的實(shí)時(shí)動(dòng)態(tài)有序管理,提高物資流轉(zhuǎn)速度和準(zhǔn)確性? PHY6236超低功耗高性能藍(lán)牙無(wú)線通信系統(tǒng)級(jí)芯片,集成高性能32位 MCU,具有8KB保留SRAM、80KB
    發(fā)表于 01-23 16:44

    鴻蒙原生頁(yè)面高性能解決方案上線OpenHarmony社區(qū) 助力打造高性能原生應(yīng)用

    隨著HarmonyOS NEXT的正式推出,鴻蒙原生應(yīng)用開(kāi)發(fā)熱度高漲,數(shù)量激增。但在方應(yīng)用鴻蒙化進(jìn)程中,性能問(wèn)題頻出。為此,HarmonyOS NEXT推出了一整套原生頁(yè)面高性能解決方案,包括
    發(fā)表于 01-02 18:00

    【BearPi-Pico H3863閃開(kāi)發(fā)板體驗(yàn)連載】閃超低功耗SLE透?jìng)鞴δ軠y(cè)試

    。與傳統(tǒng)短距傳輸技術(shù)方案相比,閃在功耗、速度、覆蓋范圍和連接性能全面領(lǐng)先,可以在智能終端、智能家居、智能汽車(chē)、智能制造等各類(lèi)細(xì)分場(chǎng)景下實(shí)現(xiàn)更極致的用戶體驗(yàn) BLE和SLE是兩種不同的
    發(fā)表于 10-25 22:23

    三星電子:18FDS將成為物聯(lián)網(wǎng)和MCU領(lǐng)域的重要工藝

    電子發(fā)燒友網(wǎng)報(bào)道(文/吳子鵬)今年上半年,三星在FD-SOI工藝上面再進(jìn)一步。3月份,意法半導(dǎo)體(STMicroelectronics)宣布與三星聯(lián)合推出18nm FD-SOI工藝。該
    發(fā)表于 10-23 11:53 ?638次閱讀
    <b class='flag-5'>三星</b>電子:18FDS將成為物聯(lián)網(wǎng)和MCU領(lǐng)域的重要<b class='flag-5'>工藝</b>

    二極管實(shí)現(xiàn)高電壓和大電流轉(zhuǎn)換的同時(shí)降低功耗并提高可靠性

    IGBT和1200V D3 EliteSiC二極管,實(shí)現(xiàn)高電壓和大電流轉(zhuǎn)換的同時(shí)降低功耗并提高可靠性。FS7 IGBT關(guān)斷損耗低,可將開(kāi)關(guān)損耗降低達(dá)8%,而EliteSiC二極管則提供
    的頭像 發(fā)表于 08-29 15:09 ?614次閱讀
    二極管實(shí)現(xiàn)高電壓和大電流轉(zhuǎn)換的同時(shí)<b class='flag-5'>降低功耗</b><b class='flag-5'>并提高</b>可靠性

    貼片電容首選三星,性能穩(wěn)定又可靠

    的電氣性能。這主要得益于三星先進(jìn)的生產(chǎn)工藝和嚴(yán)格的質(zhì)量控制體系,確保了產(chǎn)品的高品質(zhì)。 2、可靠性高:三星貼片電容的可靠性非常高,能夠滿足長(zhǎng)時(shí)間、高負(fù)荷的工作需求。其優(yōu)秀的耐高溫、耐濕等
    的頭像 發(fā)表于 08-20 15:10 ?767次閱讀

    三星電子實(shí)現(xiàn)低功耗LPDDR5X DRAM的量產(chǎn)

    三星電子于6日正式宣布,其已成功實(shí)現(xiàn)業(yè)內(nèi)領(lǐng)先的12納米級(jí)低功耗雙倍數(shù)據(jù)速率動(dòng)態(tài)隨機(jī)存儲(chǔ)器(LPDDR5X DRAM)的量產(chǎn),這款存儲(chǔ)器以驚人的0.65毫米封裝厚度引領(lǐng)行業(yè),同時(shí)提供12GB及16GB的存儲(chǔ)容量選項(xiàng)。
    的頭像 發(fā)表于 08-06 15:30 ?951次閱讀

    三星開(kāi)始量產(chǎn)其最薄LPDDR5X內(nèi)存產(chǎn)品,助力端側(cè)AI應(yīng)用

    低功耗內(nèi)存市場(chǎng)的地位。 三星LPDDR5X DRAM 憑借在芯片封裝領(lǐng)域豐富的技術(shù)經(jīng)驗(yàn),三星可提供超薄的LPDDR5X DRAM封裝,使移動(dòng)設(shè)備
    的頭像 發(fā)表于 08-06 08:32 ?662次閱讀

    三星電機(jī)向AMD供應(yīng)高性能FCBGA基板

    在全球數(shù)字化轉(zhuǎn)型的浪潮中,超大規(guī)模數(shù)據(jù)中心作為支撐云計(jì)算、大數(shù)據(jù)及人工智能等關(guān)鍵技術(shù)的基石,正以前所未有的速度發(fā)展。為了滿足這一領(lǐng)域?qū)Ω?b class='flag-5'>高性能、更低延遲及更高可靠性的需求,三星電子旗下的三星
    的頭像 發(fā)表于 07-22 15:47 ?861次閱讀