很多芯片在設(shè)計之初,就已經(jīng)考慮如何增加代碼的復用性,盡量減少工作量,降低錯誤概率。 增加復用性的幾個場景:
不同項目之間的代碼復用性
不同工藝之間的代碼復用性
同一個模塊例化多份,分別工作在不同模式下
同一個項目不同環(huán)境(RTL驗證,FPGA demo 驗證)之間的代碼復用性
本章節(jié)將簡單談談幾種增加代碼復用性的方法。
1、基礎(chǔ)模塊IP化
在各家ASIC/FPGA項目的代碼目錄中,我們經(jīng)常能看到各類小的基礎(chǔ)模塊,例如各類跨時鐘模塊、各類調(diào)度仲裁模塊、各類RAM讀寫模塊、各類總線接口模塊等等。它們可能命名為share_ip_*或base_ip_*或cmn_ip_*,又或者其他名稱,幾乎每個設(shè)計者都需要用到這些基礎(chǔ)模塊。 我們在需要實現(xiàn)其相關(guān)功能時,只需要例化模塊即可,簡單高效,有降低了bug概率。
2、模塊參數(shù)化
在進行模塊設(shè)計時,我們需要盡量保證模塊參數(shù)化,盡量避免使用立即數(shù)(例如10’d0)。 被參數(shù)化的信號可能是數(shù)據(jù)位寬,用戶數(shù)量或者其他類型的信號。
module ip_bus_sync #( // parameter DATA_WDTH = 16 , // parameter INI_VALUE = {DATA_WDTH{1'b0}} // )( input i_src_clk , // input i_src_rst_n , // input [DATA_WDTH-1:0] i_src_din , // input i_dst_clk , // input i_dst_rst_n , // output reg [DATA_WDTH-1:0] o_dst_dout // ); //邏輯代碼 endmodule
3、宏定義區(qū)分代碼分支
ASIC項目的代碼一般至少有兩個版本:ASIC版本和驗證版本(FPGA/加速器)。部分公司還會同時出兩個系列的芯片,ASIC系列和FPGA系列。因此我們通常需要通過宏定義區(qū)分不同的代碼分支和參數(shù)
`ifdef FOR_ASIC_DESIGN //ASIC logic //參數(shù)定義 `else //其他分支邏輯 //參數(shù)定義 `endif
`ifdef FOR_ASIC_DESIGN generate genvar i; for ( i=0; i
4、使用參數(shù)選擇代碼分支
在同一個宏定義分支下(例如同在ASIC或者FPGA項目),我們可能需要獎模塊例化多份,以支持如下場景:
Case1:同一個模塊,工作在同的模式下,為了節(jié)約資源,只需要保留對應模式的代碼邏輯。 Case2:同一個模塊,其支持的功能數(shù)量不同,所需要的RAM等資源也不相同,需要例化不同規(guī)格的RAM。
module xxxxx #( parameter FUNCTION_MODE = `MULTI_FUNCTION ) ( //各類IO信號 ) ; generate if (FUNCTION_MODE==`MULTI_FUNCTION) begin:MULTI_FUNCTION_CODE //多function 邏輯 end else begin:SINGLE_FUNCTION_CODE // 單function 邏輯 end end endgenerate
5、IP接口隔離
不同Vendor的IP的用戶側(cè)接口大概率是不一樣的,為了避免因為IP替換導致的大量代碼修改,可以在設(shè)計之處就考慮將IP接口隔離。例如通過2類接口轉(zhuǎn)換模塊(控制類和數(shù)據(jù)類),將所需要的控制信號和數(shù)據(jù)流信號轉(zhuǎn)換成通用的內(nèi)部信號,這樣無論怎么替換IP,都只需要修改少量代碼。
? ? ? ? ? ? ? ? ?
6、std cell 隔離
我們通常會將std cell外包一層或者多層代碼,這樣就能將工藝與設(shè)計代碼盡量分離。
常見的有bit同步器,ram,clk-gating,clk mux等模塊。如下是單bit同步器的案例。
module ip_bit_sync #( parameter DATA_WDTH = 1 // bit width)(in put wire i_dst_clk, // destination clockinput wire [(DATA_WDTH-1):0] i_src_din, // data inputoutput wire [(DATA_WDTH-1):0] o_dst_dout // data output);`ifdef FOR_ASIC_DESIGNgenerategenvar i;for ( i=0; i
審核編輯:劉清
-
FPGA
+關(guān)注
關(guān)注
1645文章
22040瀏覽量
618196 -
加速器
+關(guān)注
關(guān)注
2文章
827瀏覽量
39109 -
芯片設(shè)計
+關(guān)注
關(guān)注
15文章
1086瀏覽量
55639 -
RTL
+關(guān)注
關(guān)注
1文章
389瀏覽量
61078 -
ASIC芯片
+關(guān)注
關(guān)注
2文章
92瀏覽量
24278
原文標題:增加設(shè)計復用性的6種方法
文章出處:【微信號:處芯積律,微信公眾號:處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。
發(fā)布評論請先 登錄
電磁兼容性主要的幾種整改方法
軟件復用庫互操作有哪些方法?
物聯(lián)網(wǎng)技術(shù)中幾種典型芯片NB-IOT的測試方法
你知道可測試性設(shè)計方法有哪幾種嗎
代碼如何復用
芯片分析的幾種方法與步驟
Linux的內(nèi)核級代碼復用攻擊檢測
一種新穎的復用代碼精確快速溯源方法

談談STM32Fxx系列單片機引腳的復用功能資料下載

評論