99精品伊人亚洲|最近国产中文炮友|九草在线视频支援|AV网站大全最新|美女黄片免费观看|国产精品资源视频|精彩无码视频一区|91大神在线后入|伊人终合在线播放|久草综合久久中文

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado中常用TCL命令匯總

Hack電子 ? 來源:Hack電子 ? 2023-04-13 10:20 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

Vivado是Xilinx推出的可編程邏輯設(shè)備(FPGA)軟件開發(fā)工具套件,提供了許多TCL命令來簡化流程和自動化開發(fā)。本文將介紹在Vivado中常用的TCL命令,并對其進(jìn)行詳細(xì)說明,并提供相應(yīng)的操作示例。

一、創(chuàng)建和打開項(xiàng)目

1. create_project:創(chuàng)建一個新的Vivado項(xiàng)目。

create_project my_project /home/user/my_project

2. open_project:打開一個已經(jīng)存在的Vivado項(xiàng)目。

open_project /home/user/my_project

3. close_project:關(guān)閉當(dāng)前的Vivado項(xiàng)目。同時也可以指定是否保存更改。

close_project -save true

4. delete_project:刪除一個Vivado項(xiàng)目。

delete_project my_project

5. save_project:保存當(dāng)前的Vivado項(xiàng)目。

save_project

二、添加文件

1. add_files: 將一個或多個文件添加到Vivado項(xiàng)目中。

add_files /home/user/my_project/src/test.v

2. add_sources:添加源文件到Vivado項(xiàng)目中。

add_sources /home/user/my_project/src/test.v

3. add_files_recursive:遞歸地將一個目錄下的文件添加到Vivado項(xiàng)目中。

add_files_recursive /home/user/my_project/src/

4、添加IP核

IP核(Intellectual Property)是預(yù)先設(shè)計(jì)好的復(fù)雜模塊,可以方便地應(yīng)用于FPGA設(shè)計(jì)中。在Vivado中添加IP核可以使用以下命令:

//添加AXI GPIO IP核 其中vlnv為IP核的唯一標(biāo)識符 create_bd_cell -type ip -vlnv xilinx.comaxi_gpio:2.0 axi_gpio_0

三、綜合

1. synth_design:對設(shè)計(jì)進(jìn)行綜合。

synth_design -top test_module

2. report_utilization:生成資源使用情況的報告。

report_utilization

3. report_timing_summary:生成時序約束分析報告。

report_timing_summary

4. report_clock_interaction:生成時鐘關(guān)系分析報告。

report_clock_interaction

5. report_power:生成功耗分析報告。

report_power

四、實(shí)現(xiàn)

1. place_design:對設(shè)計(jì)進(jìn)行布局。

place_design

2. route_design:連接完成后,對設(shè)計(jì)進(jìn)行路由。

route_design

3. report_route_status:生成路由狀態(tài)的報告。

report_route_status

4. report_timing_summary:生成時序約束分析報告。

report_timing_summary

5. validate_timing:檢查時序是否符合約束要求。

validate_timing

五、下載

1. write_bitstream:生成比特流文件。

write_bitstream -force /home/user/my_project/test.bit

2. program_hw_devices:下載比特流文件到FPGA。

program_hw_devices -file /home/user/my_project/test.bit

3. open_hw_target:打開硬件目標(biāo)。

open_hw_target

4. close_hw_target:關(guān)閉硬件目標(biāo)。

close_hw_target

六、其它

執(zhí)行TCL腳本

source /.tcl

七、總結(jié)

本文介紹了Vivado常用的TCL命令,并提供了相應(yīng)的操作示例。這些命令可以大大簡化FPGA開發(fā)流程,提高開發(fā)效率。需要注意的是,在使用TCL命令時,需要仔細(xì)閱讀xilinx相關(guān)文檔和說明,保證正確使用。

審核編輯:湯梓紅
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1645

    文章

    22018

    瀏覽量

    616996
  • Xilinx
    +關(guān)注

    關(guān)注

    73

    文章

    2184

    瀏覽量

    124897
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    11

    文章

    1781

    瀏覽量

    89826
  • 命令
    +關(guān)注

    關(guān)注

    5

    文章

    736

    瀏覽量

    22807
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    834

    瀏覽量

    68550

原文標(biāo)題:Vivado中常用TCL命令匯總

文章出處:【微信號:Hack電子,微信公眾號:Hack電子】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評論

    相關(guān)推薦
    熱點(diǎn)推薦

    #硬聲創(chuàng)作季 #FPGA Xilinx開發(fā)-08 Vivado里最常用的5個Tcl命令-3

    fpga芯片XilinxTCLTCL王牌Vivado
    水管工
    發(fā)布于 :2022年10月08日 22:45:16

    LUT名稱提取有什么合適的tcl命令

    大家好,我是Vivado的新手。我想提取已實(shí)現(xiàn)設(shè)計(jì)的LUT名稱,但我沒有找到合適的tcl命令。以上來自于谷歌翻譯以下為原文Hi every body,I am new with Vivado
    發(fā)表于 11-02 11:09

    導(dǎo)出到電子表格使用VIVADO Tcl命令怎么實(shí)現(xiàn)?

    嗨,大家好,我是vivado工具的新手,我需要為MIG或QSFP導(dǎo)出.xlsx報告文件我可以使用Tcl命令自動保存報告文件嗎?
    發(fā)表于 05-12 08:31

    Vivado圖形化界面IDE中運(yùn)行和調(diào)試Tcl命令

    管腳到內(nèi)部的線長也需要考慮。fpga工程師可以在vivadoTcl Console中執(zhí)行tcl命令,生成對應(yīng)器件的trace length文件提供給硬件工程師。具體
    發(fā)表于 06-17 14:52

    TCL 定制 Vivado 設(shè)計(jì)實(shí)現(xiàn)流程

    IDE 上運(yùn)行 Tcl 腳本主要有以下幾個渠道。 Tcl Console Vivado IDE 的最下方有一個 Tcl Console,在運(yùn)行過程中允許用戶輸入
    發(fā)表于 06-28 19:34

    04-shell的常用命令匯總與vim

    shell的常用命令匯總
    發(fā)表于 12-17 16:04 ?0次下載

    VivadoTCL腳本語言基本語法介紹

    TCL腳本語言 Tcl(Tool Command Language)是一種很通用的腳本語言,它幾乎在所有的平臺上都可以解釋運(yùn)行,而且VIVADO也提供了TCL
    發(fā)表于 04-11 12:09 ?1.1w次閱讀

    CAD常用快捷鍵命令匯總

    CAD常用快捷鍵命令匯總,具體的跟隨小編來詳細(xì)了解下。
    的頭像 發(fā)表于 07-23 14:42 ?9693次閱讀
    CAD<b class='flag-5'>常用</b>快捷鍵<b class='flag-5'>命令</b><b class='flag-5'>匯總</b>

    Vivado設(shè)計(jì)套件TCL命令資料參考指南免費(fèi)下載

    工具命令語言(TCL)是集成在VIVADO環(huán)境中的腳本語言。TCL是半導(dǎo)體工業(yè)中用于應(yīng)用程序編程接口的標(biāo)準(zhǔn)語言,并由SyoSype?設(shè)計(jì)約束(SDC)使用。
    發(fā)表于 08-09 08:00 ?38次下載
    <b class='flag-5'>Vivado</b>設(shè)計(jì)套件<b class='flag-5'>TCL</b><b class='flag-5'>命令</b>資料參考指南免費(fèi)下載

    如何使用Tcl命令語言讓Vivado HLS運(yùn)作

    了解如何使用Tcl命令語言以批處理模式運(yùn)行Vivado HLS并提高工作效率。 該視頻演示了如何從現(xiàn)有的Vivado HLS設(shè)計(jì)輕松創(chuàng)建新的Tcl
    的頭像 發(fā)表于 11-20 06:06 ?3408次閱讀

    如何用Tcl實(shí)現(xiàn)Vivado設(shè)計(jì)流程介紹

    Vivado有兩種工作模式:project模式和non-project模式。這兩種模式都可以借助VivadoIDE或Tcl命令來運(yùn)行。相比之下,VivadoIDE給project模式提供了更多的好處,而
    的頭像 發(fā)表于 10-21 10:58 ?3938次閱讀
    如何用<b class='flag-5'>Tcl</b>實(shí)現(xiàn)<b class='flag-5'>Vivado</b>設(shè)計(jì)流程介紹

    PCB中常用的快捷鍵匯總

    PCB中常用的快捷鍵匯總
    發(fā)表于 09-28 10:12 ?40次下載

    FPGA調(diào)試中常用TCL語法簡介

    使用Jtag Master調(diào)試FPGA程序時用到tcl語言,通過編寫tcl腳本,可以實(shí)現(xiàn)對FPGA的讀寫,為調(diào)試FPGA程序帶來極大的便利,下面對FPGA調(diào)試過程中常用tcl語法進(jìn)行
    的頭像 發(fā)表于 02-19 19:44 ?3066次閱讀

    使用Tcl命令保存Vivado工程

    一個完整的vivado工程往往需要占用較多的磁盤資源,少說幾百M(fèi),多的甚至可能達(dá)到上G,為節(jié)省硬盤資源,可以使用Tcl命令vivado工程進(jìn)行備份,然后刪除不必要的工程文件,需要時再
    的頭像 發(fā)表于 08-02 15:01 ?5511次閱讀

    Vivado設(shè)計(jì)套件Tcl命令參考指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計(jì)套件Tcl命令參考指南.pdf》資料免費(fèi)下載
    發(fā)表于 09-14 10:23 ?1次下載
    <b class='flag-5'>Vivado</b>設(shè)計(jì)套件<b class='flag-5'>Tcl</b><b class='flag-5'>命令</b>參考指南