99精品伊人亚洲|最近国产中文炮友|九草在线视频支援|AV网站大全最新|美女黄片免费观看|国产精品资源视频|精彩无码视频一区|91大神在线后入|伊人终合在线播放|久草综合久久中文

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA案例之衍生時(shí)鐘約束

454398 ? 來(lái)源:科學(xué)計(jì)算technomania ? 作者:貓叔 ? 2020-11-17 16:28 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

約束衍生時(shí)鐘

系統(tǒng)中有4個(gè)衍生時(shí)鐘,但其中有兩個(gè)是MMCM輸出的,不需要我們手動(dòng)約束,因此我們只需要對(duì)clk_samp和spi_clk進(jìn)行約束即可。約束如下:

create_generated_clock -name clk_samp -source [get_pins clk_gen_i0/clk_core_i0/clk_tx] -divide_by 32 [get_pins clk_gen_i0/BUFHCE_clk_samp_i0/O]
create_generated_clock -name spi_clk -source [get_pins dac_spi_i0/out_ddr_flop_spi_clk_i0/ODDR_inst/C] -divide_by 1 -invert [get_ports spi_clk_pin]

這里需要注意的是,如果該約束中使用get_pins(即產(chǎn)生的時(shí)鐘并非輸出到管腳),那么無(wú)論是source的時(shí)鐘還是我們衍生的時(shí)鐘,在get_pins后面的一定是這個(gè)時(shí)鐘最初的產(chǎn)生位置。在視頻中我們會(huì)具體展示)。

我們?cè)龠\(yùn)行report_clocks,顯示如下:

我們?cè)诶碚撈摹癱reate_generated_clock”一節(jié)中講到,我們可以重新設(shè)置Vivado自動(dòng)生成的衍生時(shí)鐘的名字,這樣可以更方便我們后續(xù)的使用。按照前文所講,只需設(shè)置name和source參數(shù)即可,其中這個(gè)source可以直接從report_clocks中得到,因此我們的約束如下:

create_generated_clock -name clk_tx -source [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1] [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT1]
create_generated_clock -name clk_rx -source [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKIN1] [get_pins clk_gen_i0/clk_core_i0/inst/mmcm_adv_inst/CLKOUT0]

大家可以對(duì)比下report_clocks的內(nèi)容和約束指令,很容易就能看出它們之間的關(guān)系。

把上述的約束指令在tcl中運(yùn)行后,我們?cè)龠\(yùn)行一遍report_clocks,顯示如下:

在時(shí)序樹的分析中,我們看到,clk_samp和clk2兩個(gè)異步時(shí)鐘之間存在數(shù)據(jù)交互,因此要進(jìn)行約束,如下:

set_clock_groups -asynchronous -group [get_clocks clk_samp] -group [get_clocks clk2]
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1645

    文章

    22050

    瀏覽量

    618634
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    835

    瀏覽量

    68795
收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評(píng)論

    相關(guān)推薦
    熱點(diǎn)推薦

    FPGA的IO口時(shí)序約束分析

      在高速系統(tǒng)中FPGA時(shí)序約束不止包括內(nèi)部時(shí)鐘約束,還應(yīng)包括完整的IO時(shí)序約束和時(shí)序例外約束
    發(fā)表于 09-27 09:56 ?2056次閱讀

    FPGA時(shí)鐘約束詳解 Vivado添加時(shí)序約束方法

    FPGA設(shè)計(jì)中,時(shí)序約束的設(shè)置對(duì)于電路性能和可靠性都至關(guān)重要。在上一篇的文章中,已經(jīng)詳細(xì)介紹了FPGA時(shí)序約束的基礎(chǔ)知識(shí)。
    發(fā)表于 06-06 18:27 ?1.2w次閱讀
    <b class='flag-5'>FPGA</b>主<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>約束</b>詳解 Vivado添加時(shí)序<b class='flag-5'>約束</b>方法

    FPGA時(shí)序約束衍生時(shí)鐘約束時(shí)鐘分組約束

    FPGA設(shè)計(jì)中,時(shí)序約束對(duì)于電路性能和可靠性非常重要。在上一篇的文章中,已經(jīng)詳細(xì)介紹了FPGA時(shí)序約束的主時(shí)鐘
    發(fā)表于 06-12 17:29 ?3427次閱讀

    FPGA時(shí)序約束偽路徑和多周期路徑

    前面幾篇FPGA時(shí)序約束進(jìn)階篇,介紹了常用主時(shí)鐘約束、衍生時(shí)鐘
    發(fā)表于 06-12 17:33 ?2443次閱讀

    FPGA時(shí)序約束建立時(shí)間和保持時(shí)間

    FPGA中時(shí)序約束是設(shè)計(jì)的關(guān)鍵點(diǎn)之一,準(zhǔn)確的時(shí)鐘約束有利于代碼功能的完整呈現(xiàn)。進(jìn)行時(shí)序約束,讓軟件布局布線后的電路能夠滿足使用的要求。
    發(fā)表于 08-14 17:49 ?1893次閱讀
    <b class='flag-5'>FPGA</b>時(shí)序<b class='flag-5'>約束</b><b class='flag-5'>之</b>建立時(shí)間和保持時(shí)間

    FPGA時(shí)鐘周期約束講解

    時(shí)鐘周期約束是用于對(duì)時(shí)鐘周期的約束,屬于時(shí)序約束中最重要的約束之一。
    發(fā)表于 08-14 18:25 ?1170次閱讀

    FPGA全局時(shí)鐘約束(Xilinx版本)

    ,FPGA上的全局時(shí)鐘管腳用完了就出現(xiàn)不夠用的情況。FPGA全局時(shí)鐘約束(Xilinx版本)[hide][/hide]
    發(fā)表于 02-29 09:46

    FPGA實(shí)戰(zhàn)演練邏輯篇56:VGA驅(qū)動(dòng)接口時(shí)序設(shè)計(jì)3時(shí)鐘約束

    VGA驅(qū)動(dòng)接口時(shí)序設(shè)計(jì)3時(shí)鐘約束本文節(jié)選自特權(quán)同學(xué)的圖書《FPGA設(shè)計(jì)實(shí)戰(zhàn)演練(邏輯篇)》配套例程下載鏈接:http://pan.baidu.com/s/1pJ5bCtt 如圖8.2
    發(fā)表于 07-30 22:07

    FPGA時(shí)鐘約束問(wèn)題

    FPGA的DCM模塊,40MHz時(shí)鐘輸入,得到clkout1 40MHz,clkout2 60MHz,clkout1 120MHz。對(duì)40MHz時(shí)鐘添加了約束,系統(tǒng)不是會(huì)自動(dòng)對(duì)三個(gè)輸出
    發(fā)表于 05-25 15:06

    FPGA設(shè)計(jì)約束技巧XDC約束I/O篇(下)

    XDC中的I/O約束雖然形式簡(jiǎn)單,但整體思路和約束方法卻與UCF大相徑庭。加之FPGA的應(yīng)用特性決定了其在接口上有多種構(gòu)建和實(shí)現(xiàn)方式,所以從UCF到XDC的轉(zhuǎn)換過(guò)程中,最具挑戰(zhàn)的可以說(shuō)便是本文將要
    發(fā)表于 11-17 19:01 ?7834次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>約束</b>技巧<b class='flag-5'>之</b>XDC<b class='flag-5'>約束</b><b class='flag-5'>之</b>I/O篇(下)

    FPGA設(shè)計(jì)之時(shí)鐘約束操作

    確定了主時(shí)鐘衍生時(shí)鐘后,再看各個(gè)時(shí)鐘是否有交互,即clka產(chǎn)生的數(shù)據(jù)是否在clkb的時(shí)鐘域中被使用。
    發(fā)表于 04-06 10:20 ?5134次閱讀

    簡(jiǎn)述FPGA時(shí)鐘約束時(shí)鐘余量超差解決方法

    在設(shè)計(jì)FPGA項(xiàng)目的時(shí)候,對(duì)時(shí)鐘進(jìn)行約束,但是因?yàn)樗惴ɑ蛘哂布脑?,都使?b class='flag-5'>時(shí)鐘約束出現(xiàn)超差現(xiàn)象,接下來(lái)主要就是解決
    的頭像 發(fā)表于 10-11 14:52 ?3898次閱讀
    簡(jiǎn)述<b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>約束</b><b class='flag-5'>時(shí)鐘</b>余量超差解決方法

    FPGA設(shè)計(jì)衍生時(shí)鐘約束時(shí)鐘分組約束設(shè)置

    FPGA設(shè)計(jì)中,時(shí)序約束對(duì)于電路性能和可靠性非常重要。
    發(fā)表于 06-26 14:53 ?4657次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>衍生</b><b class='flag-5'>時(shí)鐘</b><b class='flag-5'>約束</b>和<b class='flag-5'>時(shí)鐘</b>分組<b class='flag-5'>約束</b>設(shè)置

    時(shí)序約束一主時(shí)鐘與生成時(shí)鐘

    一、主時(shí)鐘create_clock 1.1 定義 主時(shí)鐘是來(lái)自FPGA芯片外部的時(shí)鐘,通過(guò)時(shí)鐘輸入端口或高速收發(fā)器GT的輸出引腳進(jìn)入
    的頭像 發(fā)表于 11-29 11:03 ?1408次閱讀
    時(shí)序<b class='flag-5'>約束</b>一主<b class='flag-5'>時(shí)鐘</b>與生成<b class='flag-5'>時(shí)鐘</b>

    FPGA時(shí)序約束設(shè)置時(shí)鐘

    Vivado中時(shí)序分析工具默認(rèn)會(huì)分析設(shè)計(jì)中所有時(shí)鐘相關(guān)的時(shí)序路徑,除非時(shí)序約束中設(shè)置了時(shí)鐘組或false路徑。使用set_clock_groups命令可以使時(shí)序分析工具不分析時(shí)鐘組中
    的頭像 發(fā)表于 04-23 09:50 ?472次閱讀
    <b class='flag-5'>FPGA</b>時(shí)序<b class='flag-5'>約束</b><b class='flag-5'>之</b>設(shè)置<b class='flag-5'>時(shí)鐘</b>組